summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authora-chol <a-chol@users.noreply.github.com>2020-07-25 14:01:15 +0200
committerDrashna Jael're <drashna@live.com>2020-09-30 03:13:02 -0700
commitf85b1ee83fa136e7a1795cfaf27d87d81f4b91e9 (patch)
tree807565732bd417f593d15d480f3155b93246f3d3
parent7d63eef32e2aaa47ff8a40483a4c14711b7c26e9 (diff)
Hid joystick interface (#4226)
* add support for hid gamepad interface add documentation for HID joystick Add joystick_task to read analog axes values even when no key is pressed or release. update doc Update docs/feature_joystick.md Manage pin setup and read to maintain matrix scan after analog read * Incorporates patches and changes to HID reporting There are some patches provided by @a-chol incorporated on this commit, and also some changes I made to the HID Report structure. The most interesting is the one dealing with number of buttons: Linux doesn't seem to care, but Windows requires the HID structure to be byte aligned (that's in the spec). So if one declares 8/16/32... buttons they should not have any issues, but this is what happens when you have 9 buttons: ``` bits |0|1|2|3|4|5|6|7| |*|*|*|*|*|*|*|*| axis 0 (report size 8) |*|*|*|*|*|*|*|*| ... |*|*|*|*|*|*|*|*| |*|*|*|*|*|*|*|*| |*|*|*|*|*|*|*|*| |*|*|*|*|*|*|*|*| |*|*|*|*|*|*|*|*| axis 6 |*|*|*|*|*|*|*|*| first 8 buttons (report size 1) |*| | | | | | | | last of 9 buttons, not aligned ``` So for that I added a conditonal that will add a number of reports with size 1 to make sure it aligns to the next multiple of 8. Those reports send dummy inputs that don't do anything aside from aligning the data. Tested on Linux, Windows 10 and Street Fighter (where the joystick is recognized as direct-input) * Add save and restore of each pin used in reading joystick (AVR). Allow output pin to be JS_VIRTUAL_AXIS if the axis is connected to Vcc instead of an output pin from the MCU. Fix joystick report id Fix broken v-usb hid joystick interface. Make it more resilient to unusual settings (none multiple of eight button count, 0 buttons or 0 axes) Correct adc reading for multiple axes. Piecewise range conversion for uncentered raw value range. Input, output and ground pin configuration per axis. Documentation fixes * Fix port addressing for joystick analog read * The other required set of changes As per the PR, the changes still holding it up. Add onekey for testing. Fix ARM builds. Fix device descriptor when either axes or buttons is zero. Add compile-time check for at least one axis or button. Move definition to try to fix conflict. PR review comments. qmk cformat * avoid float functions to compute range mapping for axis adc reading * Remove V-USB support for now. Updated docs accordingly. * Update tmk_core/protocol/lufa/lufa.c Co-Authored-By: Ryan <fauxpark@gmail.com> * Update tmk_core/protocol/usb_descriptor.c Co-Authored-By: Ryan <fauxpark@gmail.com> * Update tmk_core/protocol/usb_descriptor.c Co-Authored-By: Ryan <fauxpark@gmail.com> * Update tmk_core/protocol/usb_descriptor.c Co-Authored-By: Ryan <fauxpark@gmail.com> * Add support for joystick adc reading for stm32 MCUs. Fix joystick hid report sending for chibios * Fix HID joystick report sending for ChibiOS. Add one analog axis to the onekey:joystick keymap. Fix pin state save and restore during joystick analog read for STM32 MCUs. * Update tmk_core/protocol/chibios/usb_main.c Co-Authored-By: Ryan <fauxpark@gmail.com> * Update tmk_core/protocol/lufa/lufa.c Co-Authored-By: Ryan <fauxpark@gmail.com> * Add missing mcuconf.h and halconf.h to onekey:joystick keymap. Add suggested fixes from PR. * Switch saveState and restoreState signature to use pin_t type. onekey:joystick : add a second axis, virtual and programmatically animated. * Update docs/feature_joystick.md Co-Authored-By: Ryan <fauxpark@gmail.com> * Update docs/feature_joystick.md Co-Authored-By: Ryan <fauxpark@gmail.com> * Add PR corrections * Remove halconf.h and mcuconf.h from onekey keymaps * Change ADC_PIN to A0 Co-authored-by: achol <allecooll@hotmail.com> Co-authored-by: José Júnior <jose.junior@gmail.com> Co-authored-by: a-chol <achol@notamail.com> Co-authored-by: Nick Brassel <nick@tzarc.org> Co-authored-by: Ryan <fauxpark@gmail.com>
-rw-r--r--common_features.mk7
-rw-r--r--drivers/avr/analog.c10
-rw-r--r--keyboards/handwired/onekey/keymaps/joystick/config.h3
-rw-r--r--keyboards/handwired/onekey/keymaps/joystick/keymap.c25
-rw-r--r--keyboards/handwired/onekey/keymaps/joystick/rules.mk1
-rw-r--r--quantum/joystick.c13
-rw-r--r--quantum/joystick.h54
-rw-r--r--quantum/process_keycode/process_joystick.c168
-rw-r--r--quantum/process_keycode/process_joystick.h11
-rw-r--r--quantum/quantum.c3
-rw-r--r--quantum/quantum.h4
-rw-r--r--quantum/quantum_keycodes.h35
-rw-r--r--tmk_core/common/keyboard.c7
-rw-r--r--tmk_core/common/report.h13
-rw-r--r--tmk_core/protocol/chibios/usb_main.c70
-rw-r--r--tmk_core/protocol/lufa/lufa.c68
-rw-r--r--tmk_core/protocol/usb_descriptor.c109
-rw-r--r--tmk_core/protocol/usb_descriptor.h15
18 files changed, 610 insertions, 6 deletions
diff --git a/common_features.mk b/common_features.mk
index 57b812a624..43df3827b1 100644
--- a/common_features.mk
+++ b/common_features.mk
@@ -594,3 +594,10 @@ ifeq ($(strip $(AUTO_SHIFT_ENABLE)), yes)
OPT_DEFS += -DAUTO_SHIFT_MODIFIERS
endif
endif
+
+ifeq ($(strip $(JOYSTICK_ENABLE)), yes)
+ OPT_DEFS += -DJOYSTICK_ENABLE
+ SRC += $(QUANTUM_DIR)/process_keycode/process_joystick.c
+ SRC += $(QUANTUM_DIR)/joystick.c
+ SRC += analog.c
+endif
diff --git a/drivers/avr/analog.c b/drivers/avr/analog.c
index 9b8397b933..8d299ffdb9 100644
--- a/drivers/avr/analog.c
+++ b/drivers/avr/analog.c
@@ -97,10 +97,11 @@ uint8_t pinToMux(pin_t pin) {
#endif
// clang-format on
}
+ return 0;
}
int16_t adc_read(uint8_t mux) {
- uint8_t low;
+ uint16_t low;
// Enable ADC and configure prescaler
ADCSRA = _BV(ADEN) | ADC_PRESCALER;
@@ -128,5 +129,10 @@ int16_t adc_read(uint8_t mux) {
// Must read LSB first
low = ADCL;
// Must read MSB only once!
- return (ADCH << 8) | low;
+ low |= (ADCH << 8);
+
+ // turn off the ADC
+ ADCSRA &= ~(1 << ADEN);
+
+ return low;
}
diff --git a/keyboards/handwired/onekey/keymaps/joystick/config.h b/keyboards/handwired/onekey/keymaps/joystick/config.h
new file mode 100644
index 0000000000..5701d80c84
--- /dev/null
+++ b/keyboards/handwired/onekey/keymaps/joystick/config.h
@@ -0,0 +1,3 @@
+#pragma once
+#define JOYSTICK_AXES_COUNT 2
+#define JOYSTICK_BUTTON_COUNT 1
diff --git a/keyboards/handwired/onekey/keymaps/joystick/keymap.c b/keyboards/handwired/onekey/keymaps/joystick/keymap.c
new file mode 100644
index 0000000000..60802f464a
--- /dev/null
+++ b/keyboards/handwired/onekey/keymaps/joystick/keymap.c
@@ -0,0 +1,25 @@
+#include QMK_KEYBOARD_H
+
+#include "joystick.h"
+
+#ifndef ADC_PIN
+# define ADC_PIN F6
+#endif
+
+const uint16_t PROGMEM keymaps[][MATRIX_ROWS][MATRIX_COLS] = {
+ LAYOUT( JS_BUTTON0 )
+};
+
+void matrix_scan_user() {
+ int16_t val = (((uint32_t)timer_read()%5000 - 2500) * 255) / 5000;
+ if (val != joystick_status.axes[1]) {
+ joystick_status.axes[1] = val;
+ joystick_status.status |= JS_UPDATED;
+ }
+}
+
+//joystick config
+joystick_config_t joystick_axes[JOYSTICK_AXES_COUNT] = {
+ [0] = JOYSTICK_AXIS_IN(ADC_PIN, 0, 512, 1023)
+ , [1] = JOYSTICK_AXIS_VIRTUAL
+}; \ No newline at end of file
diff --git a/keyboards/handwired/onekey/keymaps/joystick/rules.mk b/keyboards/handwired/onekey/keymaps/joystick/rules.mk
new file mode 100644
index 0000000000..fbddbc6de1
--- /dev/null
+++ b/keyboards/handwired/onekey/keymaps/joystick/rules.mk
@@ -0,0 +1 @@
+JOYSTICK_ENABLE = yes
diff --git a/quantum/joystick.c b/quantum/joystick.c
new file mode 100644
index 0000000000..7b87201aef
--- /dev/null
+++ b/quantum/joystick.c
@@ -0,0 +1,13 @@
+#include "joystick.h"
+
+joystick_t joystick_status = {.buttons = {0},
+ .axes =
+ {
+#if JOYSTICK_AXES_COUNT > 0
+ 0
+#endif
+ },
+ .status = 0};
+
+// array defining the reading of analog values for each axis
+__attribute__((weak)) joystick_config_t joystick_axes[JOYSTICK_AXES_COUNT] = {};
diff --git a/quantum/joystick.h b/quantum/joystick.h
new file mode 100644
index 0000000000..a95472b9fd
--- /dev/null
+++ b/quantum/joystick.h
@@ -0,0 +1,54 @@
+#pragma once
+
+#ifndef JOYSTICK_BUTTON_COUNT
+# define JOYSTICK_BUTTON_COUNT 8
+#endif
+
+#ifndef JOYSTICK_AXES_COUNT
+# define JOYSTICK_AXES_COUNT 4
+#endif
+
+#include "quantum.h"
+
+#include <stdint.h>
+
+// configure on input_pin of the joystick_axes array entry to JS_VIRTUAL_AXIS
+// to prevent it from being read from the ADC. This allows outputing forged axis value.
+//
+#define JS_VIRTUAL_AXIS 0xFF
+
+#define JOYSTICK_AXIS_VIRTUAL \
+ { JS_VIRTUAL_AXIS, JS_VIRTUAL_AXIS, JS_VIRTUAL_AXIS, 0, 1023 }
+#define JOYSTICK_AXIS_IN(INPUT_PIN, LOW, REST, HIGH) \
+ { JS_VIRTUAL_AXIS, INPUT_PIN, JS_VIRTUAL_AXIS, LOW, REST, HIGH }
+#define JOYSTICK_AXIS_IN_OUT(INPUT_PIN, OUTPUT_PIN, LOW, REST, HIGH) \
+ { OUTPUT_PIN, INPUT_PIN, JS_VIRTUAL_AXIS, LOW, REST, HIGH }
+#define JOYSTICK_AXIS_IN_OUT_GROUND(INPUT_PIN, OUTPUT_PIN, GROUND_PIN, LOW, REST, HIGH) \
+ { OUTPUT_PIN, INPUT_PIN, GROUND_PIN, LOW, REST, HIGH }
+
+typedef struct {
+ pin_t output_pin;
+ pin_t input_pin;
+ pin_t ground_pin;
+
+ // the AVR ADC offers 10 bit precision, with significant bits on the higher part
+ uint16_t min_digit;
+ uint16_t mid_digit;
+ uint16_t max_digit;
+} joystick_config_t;
+
+extern joystick_config_t joystick_axes[JOYSTICK_AXES_COUNT];
+
+enum joystick_status { JS_INITIALIZED = 1, JS_UPDATED = 2 };
+
+typedef struct {
+ uint8_t buttons[JOYSTICK_BUTTON_COUNT / 8 + 1];
+
+ int16_t axes[JOYSTICK_AXES_COUNT];
+ uint8_t status : 2;
+} joystick_t;
+
+extern joystick_t joystick_status;
+
+// to be implemented in the hid protocol library
+void send_joystick_packet(joystick_t *joystick);
diff --git a/quantum/process_keycode/process_joystick.c b/quantum/process_keycode/process_joystick.c
new file mode 100644
index 0000000000..c12f756854
--- /dev/null
+++ b/quantum/process_keycode/process_joystick.c
@@ -0,0 +1,168 @@
+#include "joystick.h"
+#include "process_joystick.h"
+
+#include "analog.h"
+
+#include <string.h>
+#include <math.h>
+
+bool process_joystick_buttons(uint16_t keycode, keyrecord_t *record);
+
+bool process_joystick(uint16_t keycode, keyrecord_t *record) {
+ if (process_joystick_buttons(keycode, record) && (joystick_status.status & JS_UPDATED) > 0) {
+ send_joystick_packet(&joystick_status);
+ joystick_status.status &= ~JS_UPDATED;
+ }
+
+ return true;
+}
+
+__attribute__((weak))
+void joystick_task(void) {
+ if (process_joystick_analogread() && (joystick_status.status & JS_UPDATED)) {
+ send_joystick_packet(&joystick_status);
+ joystick_status.status &= ~JS_UPDATED;
+ }
+}
+
+bool process_joystick_buttons(uint16_t keycode, keyrecord_t *record) {
+ if (keycode < JS_BUTTON0 || keycode > JS_BUTTON_MAX) {
+ return true;
+ } else {
+ if (record->event.pressed) {
+ joystick_status.buttons[(keycode - JS_BUTTON0) / 8] |= 1 << (keycode % 8);
+ } else {
+ joystick_status.buttons[(keycode - JS_BUTTON0) / 8] &= ~(1 << (keycode % 8));
+ }
+
+ joystick_status.status |= JS_UPDATED;
+ }
+
+ return true;
+}
+
+uint16_t savePinState(pin_t pin) {
+#ifdef __AVR__
+ uint8_t pinNumber = pin & 0xF;
+ return ((PORTx_ADDRESS(pin) >> pinNumber) & 0x1) << 1 | ((DDRx_ADDRESS(pin) >> pinNumber) & 0x1);
+#elif defined(PROTOCOL_CHIBIOS)
+ /*
+ The pin configuration is backed up in the following format :
+ bit 15 9 8 7 6 5 4 3 2 1 0
+ |unused|ODR|IDR|PUPDR|OSPEEDR|OTYPER|MODER|
+ */
+ return (( PAL_PORT(pin)->MODER >> (2*PAL_PAD(pin))) & 0x3)
+ | (((PAL_PORT(pin)->OTYPER >> (1*PAL_PAD(pin))) & 0x1) << 2)
+ | (((PAL_PORT(pin)->OSPEEDR >> (2*PAL_PAD(pin))) & 0x3) << 3)
+ | (((PAL_PORT(pin)->PUPDR >> (2*PAL_PAD(pin))) & 0x3) << 5)
+ | (((PAL_PORT(pin)->IDR >> (1*PAL_PAD(pin))) & 0x1) << 7)
+ | (((PAL_PORT(pin)->ODR >> (1*PAL_PAD(pin))) & 0x1) << 8);
+#else
+ return 0;
+#endif
+}
+
+void restorePinState(pin_t pin, uint16_t restoreState) {
+#if defined(PROTOCOL_LUFA)
+ uint8_t pinNumber = pin & 0xF;
+ PORTx_ADDRESS(pin) = (PORTx_ADDRESS(pin) & ~_BV(pinNumber)) | (((restoreState >> 1) & 0x1) << pinNumber);
+ DDRx_ADDRESS(pin) = (DDRx_ADDRESS(pin) & ~_BV(pinNumber)) | ((restoreState & 0x1) << pinNumber);
+#elif defined(PROTOCOL_CHIBIOS)
+ PAL_PORT(pin)->MODER = (PAL_PORT(pin)->MODER & ~(0x3<< (2*PAL_PAD(pin)))) | (restoreState & 0x3) << (2*PAL_PAD(pin));
+ PAL_PORT(pin)->OTYPER = (PAL_PORT(pin)->OTYPER & ~(0x1<< (1*PAL_PAD(pin)))) | ((restoreState>>2) & 0x1) << (1*PAL_PAD(pin));
+ PAL_PORT(pin)->OSPEEDR= (PAL_PORT(pin)->OSPEEDR & ~(0x3<< (2*PAL_PAD(pin)))) | ((restoreState>>3) & 0x3) << (2*PAL_PAD(pin));
+ PAL_PORT(pin)->PUPDR = (PAL_PORT(pin)->PUPDR & ~(0x3<< (2*PAL_PAD(pin)))) | ((restoreState>>5) & 0x3) << (2*PAL_PAD(pin));
+ PAL_PORT(pin)->IDR = (PAL_PORT(pin)->IDR & ~(0x1<< (1*PAL_PAD(pin)))) | ((restoreState>>7) & 0x1) << (1*PAL_PAD(pin));
+ PAL_PORT(pin)->ODR = (PAL_PORT(pin)->ODR & ~(0x1<< (1*PAL_PAD(pin)))) | ((restoreState>>8) & 0x1) << (1*PAL_PAD(pin));
+#else
+ return;
+#endif
+}
+
+__attribute__((weak)) bool process_joystick_analogread() { return process_joystick_analogread_quantum(); }
+
+bool process_joystick_analogread_quantum() {
+#if JOYSTICK_AXES_COUNT > 0
+ for (int axis_index = 0; axis_index < JOYSTICK_AXES_COUNT; ++axis_index) {
+ if (joystick_axes[axis_index].input_pin == JS_VIRTUAL_AXIS) {
+ continue;
+ }
+
+ // save previous input pin status as well
+ uint16_t inputSavedState = savePinState(joystick_axes[axis_index].input_pin);
+
+ // disable pull-up resistor
+ writePinLow(joystick_axes[axis_index].input_pin);
+
+ // if pin was a pull-up input, we need to uncharge it by turning it low
+ // before making it a low input
+ setPinOutput(joystick_axes[axis_index].input_pin);
+
+ wait_us(10);
+
+ // save and apply output pin status
+ uint16_t outputSavedState = 0;
+ if (joystick_axes[axis_index].output_pin != JS_VIRTUAL_AXIS) {
+ // save previous output pin status
+ outputSavedState = savePinState(joystick_axes[axis_index].output_pin);
+
+ setPinOutput(joystick_axes[axis_index].output_pin);
+ writePinHigh(joystick_axes[axis_index].output_pin);
+ }
+
+ uint16_t groundSavedState = 0;
+ if (joystick_axes[axis_index].ground_pin != JS_VIRTUAL_AXIS) {
+ // save previous output pin status
+ groundSavedState = savePinState(joystick_axes[axis_index].ground_pin);
+
+ setPinOutput(joystick_axes[axis_index].ground_pin);
+ writePinLow(joystick_axes[axis_index].ground_pin);
+ }
+
+ wait_us(10);
+
+ setPinInput(joystick_axes[axis_index].input_pin);
+
+ wait_us(10);
+
+# if defined(__AVR__) || defined(PROTOCOL_CHIBIOS)
+ int16_t axis_val = analogReadPin(joystick_axes[axis_index].input_pin);
+# else
+ // default to resting position
+ int16_t axis_val = joystick_axes[axis_index].mid_digit;
+# endif
+
+ //test the converted value against the lower range
+ int32_t ref = joystick_axes[axis_index].mid_digit;
+ int32_t range = joystick_axes[axis_index].min_digit;
+ int32_t ranged_val = ((axis_val - ref) * -127) / (range - ref) ;
+
+ if (ranged_val > 0) {
+ //the value is in the higher range
+ range = joystick_axes[axis_index].max_digit;
+ ranged_val = ((axis_val - ref) * 127) / (range - ref);
+ }
+
+ //clamp the result in the valid range
+ ranged_val = ranged_val < -127 ? -127 : ranged_val;
+ ranged_val = ranged_val > 127 ? 127 : ranged_val;
+
+ if (ranged_val != joystick_status.axes[axis_index]) {
+ joystick_status.axes[axis_index] = ranged_val;
+ joystick_status.status |= JS_UPDATED;
+ }
+
+ // restore output, ground and input status
+ if (joystick_axes[axis_index].output_pin != JS_VIRTUAL_AXIS) {
+ restorePinState(joystick_axes[axis_index].output_pin, outputSavedState);
+ }
+ if (joystick_axes[axis_index].ground_pin != JS_VIRTUAL_AXIS) {
+ restorePinState(joystick_axes[axis_index].ground_pin, groundSavedState);
+ }
+
+ restorePinState(joystick_axes[axis_index].input_pin, inputSavedState);
+ }
+
+#endif
+ return true;
+}
diff --git a/quantum/process_keycode/process_joystick.h b/quantum/process_keycode/process_joystick.h
new file mode 100644
index 0000000000..7a8b82913a
--- /dev/null
+++ b/quantum/process_keycode/process_joystick.h
@@ -0,0 +1,11 @@
+#pragma once
+
+#include <stdint.h>
+#include "quantum.h"
+
+bool process_joystick(uint16_t keycode, keyrecord_t *record);
+
+void joystick_task(void);
+
+bool process_joystick_analogread(void);
+bool process_joystick_analogread_quantum(void);
diff --git a/quantum/quantum.c b/quantum/quantum.c
index 75db2f7b1a..6ec658eb5f 100644
--- a/quantum/quantum.c
+++ b/quantum/quantum.c
@@ -277,6 +277,9 @@ bool process_record_quantum(keyrecord_t *record) {
#if defined(RGBLIGHT_ENABLE) || defined(RGB_MATRIX_ENABLE)
process_rgb(keycode, record) &&
#endif
+#ifdef JOYSTICK_ENABLE
+ process_joystick(keycode, record) &&
+#endif
true)) {
return false;
}
diff --git a/quantum/quantum.h b/quantum/quantum.h
index a64336e6f1..c839a43dba 100644
--- a/quantum/quantum.h
+++ b/quantum/quantum.h
@@ -142,6 +142,10 @@ extern layer_state_t layer_state;
# include "process_magic.h"
#endif
+#ifdef JOYSTICK_ENABLE
+# include "process_joystick.h"
+#endif
+
#ifdef GRAVE_ESC_ENABLE
# include "process_grave_esc.h"
#endif
diff --git a/quantum/quantum_keycodes.h b/quantum/quantum_keycodes.h
index 108d1cc7a2..93000269f6 100644
--- a/quantum/quantum_keycodes.h
+++ b/quantum/quantum_keycodes.h
@@ -514,6 +514,41 @@ enum quantum_keycodes {
WEBUSB_PAIR,
+ JS_BUTTON0,
+ JS_BUTTON_MIN = JS_BUTTON0,
+ JS_BUTTON1,
+ JS_BUTTON2,
+ JS_BUTTON3,
+ JS_BUTTON4,
+ JS_BUTTON5,
+ JS_BUTTON6,
+ JS_BUTTON7,
+ JS_BUTTON8,
+ JS_BUTTON9,
+ JS_BUTTON10,
+ JS_BUTTON11,
+ JS_BUTTON12,
+ JS_BUTTON13,
+ JS_BUTTON14,
+ JS_BUTTON15,
+ JS_BUTTON16,
+ JS_BUTTON17,
+ JS_BUTTON18,
+ JS_BUTTON19,
+ JS_BUTTON20,
+ JS_BUTTON21,
+ JS_BUTTON22,
+ JS_BUTTON23,
+ JS_BUTTON24,
+ JS_BUTTON25,
+ JS_BUTTON26,
+ JS_BUTTON27,
+ JS_BUTTON28,
+ JS_BUTTON29,
+ JS_BUTTON30,
+ JS_BUTTON31,
+ JS_BUTTON_MAX = JS_BUTTON31,
+
// always leave at the end
SAFE_RANGE
};
diff --git a/tmk_core/common/keyboard.c b/tmk_core/common/keyboard.c
index cbf6048c8d..d973e18e97 100644
--- a/tmk_core/common/keyboard.c
+++ b/tmk_core/common/keyboard.c
@@ -74,6 +74,9 @@ along with this program. If not, see <http://www.gnu.org/licenses/>.
#ifdef MIDI_ENABLE
# include "process_midi.h"
#endif
+#ifdef JOYSTICK_ENABLE
+# include "process_joystick.h"
+#endif
#ifdef HD44780_ENABLE
# include "hd44780.h"
#endif
@@ -415,6 +418,10 @@ MATRIX_LOOP_END:
}
#endif
+#ifdef JOYSTICK_ENABLE
+ joystick_task();
+#endif
+
// update LED
if (led_status != host_keyboard_leds()) {
led_status = host_keyboard_leds();
diff --git a/tmk_core/common/report.h b/tmk_core/common/report.h
index 1b2f13bdde..1aa33c998d 100644
--- a/tmk_core/common/report.h
+++ b/tmk_core/common/report.h
@@ -29,7 +29,8 @@ enum hid_report_ids {
REPORT_ID_MOUSE,
REPORT_ID_SYSTEM,
REPORT_ID_CONSUMER,
- REPORT_ID_NKRO
+ REPORT_ID_NKRO,
+ REPORT_ID_JOYSTICK
};
/* Mouse buttons */
@@ -189,6 +190,16 @@ typedef struct {
int8_t h;
} __attribute__((packed)) report_mouse_t;
+typedef struct {
+#if JOYSTICK_AXES_COUNT > 0
+ int8_t axes[JOYSTICK_AXES_COUNT];
+#endif
+
+#if JOYSTICK_BUTTON_COUNT > 0
+ uint8_t buttons[(JOYSTICK_BUTTON_COUNT - 1) / 8 + 1];
+#endif
+} __attribute__((packed)) joystick_report_t;
+
/* keycode to system usage */
static inline uint16_t KEYCODE2SYSTEM(uint8_t key) {
switch (key) {
diff --git a/tmk_core/protocol/chibios/usb_main.c b/tmk_core/protocol/chibios/usb_main.c
index 4a4d8fac90..131acfedff 100644
--- a/tmk_core/protocol/chibios/usb_main.c
+++ b/tmk_core/protocol/chibios/usb_main.c
@@ -48,8 +48,12 @@ extern keymap_config_t keymap_config;
#endif
#ifdef WEBUSB_ENABLE
-#include "webusb.h"
+# include "webusb.h"
#endif
+#ifdef JOYSTICK_ENABLE
+# include "joystick.h"
+#endif
+
/* ---------------------------------------------------------
* Global interface variables and declarations
* ---------------------------------------------------------
@@ -269,6 +273,9 @@ typedef struct {
#ifdef WEBUSB_ENABLE
usb_driver_config_t webusb_driver;
#endif
+#ifdef JOYSTICK_ENABLE
+ usb_driver_config_t joystick_driver;
+#endif
};
usb_driver_config_t array[0];
};
@@ -313,6 +320,13 @@ static usb_driver_configs_t drivers = {
# define WEBUSB_OUT_MODE USB_EP_MODE_TYPE_INTR
.webusb_driver = QMK_USB_DRIVER_CONFIG(WEBUSB, 0, false),
#endif
+#ifdef JOYSTICK_ENABLE
+# define JOYSTICK_IN_CAPACITY 4
+# define JOYSTICK_OUT_CAPACITY 4
+# define JOYSTICK_IN_MODE USB_EP_MODE_TYPE_BULK
+# define JOYSTICK_OUT_MODE USB_EP_MODE_TYPE_BULK
+ .joystick_driver = QMK_USB_DRIVER_CONFIG(JOYSTICK, 0, false),
+#endif
};
#define NUM_USB_DRIVERS (sizeof(drivers) / sizeof(usb_driver_config_t))
@@ -943,3 +957,57 @@ void virtser_task(void) {
}
#endif
+
+#ifdef JOYSTICK_ENABLE
+
+void send_joystick_packet(joystick_t *joystick) {
+ joystick_report_t rep = {
+# if JOYSTICK_AXES_COUNT > 0
+ .axes = {joystick->axes[0],
+
+# if JOYSTICK_AXES_COUNT >= 2
+ joystick->axes[1],
+# endif
+# if JOYSTICK_AXES_COUNT >= 3
+ joystick->axes[2],
+# endif
+# if JOYSTICK_AXES_COUNT >= 4
+ joystick->axes[3],
+# endif
+# if JOYSTICK_AXES_COUNT >= 5
+ joystick->axes[4],
+# endif
+# if JOYSTICK_AXES_COUNT >= 6
+ joystick->axes[5],
+# endif
+ },
+# endif // JOYSTICK_AXES_COUNT>0
+
+# if JOYSTICK_BUTTON_COUNT > 0
+ .buttons = {joystick->buttons[0],
+
+# if JOYSTICK_BUTTON_COUNT > 8
+ joystick->buttons[1],
+# endif
+# if JOYSTICK_BUTTON_COUNT > 16
+ joystick->buttons[2],
+# endif
+# if JOYSTICK_BUTTON_COUNT > 24
+ joystick->buttons[3],
+# endif
+ }
+# endif // JOYSTICK_BUTTON_COUNT>0
+ };
+
+ // chnWrite(&drivers.joystick_driver.driver, (uint8_t *)&rep, sizeof(rep));
+ osalSysLock();
+ if (usbGetDriverStateI(&USB_DRIVER) != USB_ACTIVE) {
+ osalSysUnlock();
+ return;
+ }
+
+ usbStartTransmitI(&USB_DRIVER, JOYSTICK_IN_EPNUM, (uint8_t *)&rep, sizeof(joystick_report_t));
+ osalSysUnlock();
+}
+
+#endif
diff --git a/tmk_core/protocol/lufa/lufa.c b/tmk_core/protocol/lufa/lufa.c
index c99d8a6520..5b0d73e078 100644
--- a/tmk_core/protocol/lufa/lufa.c
+++ b/tmk_core/protocol/lufa/lufa.c
@@ -86,7 +86,10 @@ extern keymap_config_t keymap_config;
#endif
#ifdef WEBUSB_ENABLE
-#include "webusb.h"
+# include "webusb.h"
+#endif
+#ifdef JOYSTICK_ENABLE
+# include "joystick.h"
#endif
uint8_t keyboard_idle = 0;
@@ -328,6 +331,66 @@ const WebUSB_URL_Descriptor_t PROGMEM WebUSB_LandingPage = WEBUSB_URL_DESCRIPTOR
#endif
/*******************************************************************************
+ * Joystick
+ ******************************************************************************/
+#ifdef JOYSTICK_ENABLE
+void send_joystick_packet(joystick_t *joystick) {
+ uint8_t timeout = 255;
+
+ joystick_report_t r = {
+# if JOYSTICK_AXES_COUNT > 0
+ .axes = {joystick->axes[0],
+
+# if JOYSTICK_AXES_COUNT >= 2
+ joystick->axes[1],
+# endif
+# if JOYSTICK_AXES_COUNT >= 3
+ joystick->axes[2],
+# endif
+# if JOYSTICK_AXES_COUNT >= 4
+ joystick->axes[3],
+# endif
+# if JOYSTICK_AXES_COUNT >= 5
+ joystick->axes[4],
+# endif
+# if JOYSTICK_AXES_COUNT >= 6
+ joystick->axes[5],
+# endif
+ },
+# endif // JOYSTICK_AXES_COUNT>0
+
+# if JOYSTICK_BUTTON_COUNT > 0
+ .buttons = {joystick->buttons[0],
+
+# if JOYSTICK_BUTTON_COUNT > 8
+ joystick->buttons[1],
+# endif
+# if JOYSTICK_BUTTON_COUNT > 16
+ joystick->buttons[2],
+# endif
+# if JOYSTICK_BUTTON_COUNT > 24
+ joystick->buttons[3],
+# endif
+ }
+# endif // JOYSTICK_BUTTON_COUNT>0
+ };
+
+ /* Select the Joystick Report Endpoint */
+ Endpoint_SelectEndpoint(JOYSTICK_IN_EPNUM);
+
+ /* Check if write ready for a polling interval around 10ms */
+ while (timeout-- && !Endpoint_IsReadWriteAllowed()) _delay_us(40);
+ if (!Endpoint_IsReadWriteAllowed()) return;
+
+ /* Write Joystick Report Data */
+ Endpoint_Write_Stream_LE(&r, sizeof(joystick_report_t), NULL);
+
+ /* Finalize the stream transfer to send the last packet */
+ Endpoint_ClearIN();
+}
+#endif
+
+/*******************************************************************************
* USB Events
******************************************************************************/
/*
@@ -481,6 +544,9 @@ void EVENT_USB_Device_ConfigurationChanged(void) {
ConfigSuccess &= Endpoint_ConfigureEndpoint((CDC_OUT_EPNUM | ENDPOINT_DIR_OUT), EP_TYPE_BULK, CDC_EPSIZE, 1);
ConfigSuccess &= Endpoint_ConfigureEndpoint((CDC_IN_EPNUM | ENDPOINT_DIR_IN), EP_TYPE_BULK, CDC_EPSIZE, 1);
#endif
+#ifdef JOYSTICK_ENABLE
+ ConfigSuccess &= ENDPOINT_CONFIG(JOYSTICK_IN_EPNUM, EP_TYPE_INTERRUPT, ENDPOINT_DIR_IN, JOYSTICK_EPSIZE, ENDPOINT_BANK_SINGLE);
+#endif
}
/* FIXME: Expose this table in the docs somehow
diff --git a/tmk_core/protocol/usb_descriptor.c b/tmk_core/protocol/usb_descriptor.c
index 253d897a51..764824f4dc 100644
--- a/tmk_core/protocol/usb_descriptor.c
+++ b/tmk_core/protocol/usb_descriptor.c
@@ -288,6 +288,62 @@ const USB_Descriptor_BOS_t PROGMEM BOSDescriptor = BOS_DESCRIPTOR(
(WEBUSB_PLATFORM_DESCRIPTOR(WEBUSB_VENDOR_CODE, WEBUSB_LANDING_PAGE_INDEX))
);
#endif
+#ifdef JOYSTICK_ENABLE
+# if JOYSTICK_AXES_COUNT == 0 && JOYSTICK_BUTTON_COUNT == 0
+# error Need at least one axis or button for joystick
+# endif
+const USB_Descriptor_HIDReport_Datatype_t PROGMEM JoystickReport[] = {
+ HID_RI_USAGE_PAGE(8, 0x01), // Generic Desktop
+ HID_RI_USAGE(8, 0x04), // Joystick
+ HID_RI_COLLECTION(8, 0x01), // Application
+ HID_RI_COLLECTION(8, 0x00), // Physical
+ HID_RI_USAGE_PAGE(8, 0x01), // Generic Desktop
+# if JOYSTICK_AXES_COUNT >= 1
+ HID_RI_USAGE(8, 0x30), // X
+# endif
+# if JOYSTICK_AXES_COUNT >= 2
+ HID_RI_USAGE(8, 0x31), // Y
+# endif
+# if JOYSTICK_AXES_COUNT >= 3
+ HID_RI_USAGE(8, 0x32), // Z
+# endif
+# if JOYSTICK_AXES_COUNT >= 4
+ HID_RI_USAGE(8, 0x33), // Rx
+# endif
+# if JOYSTICK_AXES_COUNT >= 5
+ HID_RI_USAGE(8, 0x34), // Ry
+# endif
+# if JOYSTICK_AXES_COUNT >= 6
+ HID_RI_USAGE(8, 0x35), // Rz
+# endif
+# if JOYSTICK_AXES_COUNT >= 1
+ HID_RI_LOGICAL_MINIMUM(8, -127),
+ HID_RI_LOGICAL_MAXIMUM(8, 127),
+ HID_RI_REPORT_COUNT(8, JOYSTICK_AXES_COUNT),
+ HID_RI_REPORT_SIZE(8, 0x08),
+ HID_RI_INPUT(8, HID_IOF_DATA | HID_IOF_VARIABLE | HID_IOF_ABSOLUTE),
+# endif
+
+# if JOYSTICK_BUTTON_COUNT >= 1
+ HID_RI_USAGE_PAGE(8, 0x09), // Button
+ HID_RI_USAGE_MINIMUM(8, 0x01),
+ HID_RI_USAGE_MAXIMUM(8, JOYSTICK_BUTTON_COUNT),
+ HID_RI_LOGICAL_MINIMUM(8, 0x00),
+ HID_RI_LOGICAL_MAXIMUM(8, 0x01),
+ HID_RI_REPORT_COUNT(8, JOYSTICK_BUTTON_COUNT),
+ HID_RI_REPORT_SIZE(8, 0x01),
+ HID_RI_INPUT(8, HID_IOF_DATA | HID_IOF_VARIABLE | HID_IOF_ABSOLUTE),
+
+# if (JOYSTICK_BUTTON_COUNT % 8) != 0
+ HID_RI_REPORT_COUNT(8, 8 - (JOYSTICK_BUTTON_COUNT % 8)),
+ HID_RI_REPORT_SIZE(8, 0x01),
+ HID_RI_INPUT(8, HID_IOF_CONSTANT),
+# endif
+# endif
+ HID_RI_END_COLLECTION(0),
+ HID_RI_END_COLLECTION(0)
+};
+#endif
/*
* Device descriptor
@@ -302,7 +358,6 @@ const USB_Descriptor_Device_t PROGMEM DeviceDescriptor = {
#else
.USBSpecification = VERSION_BCD(1, 1, 0),
#endif
-
#if VIRTSER_ENABLE
.Class = USB_CSCP_IADDeviceClass,
.SubClass = USB_CSCP_IADDeviceSubclass,
@@ -859,6 +914,46 @@ const USB_Descriptor_Configuration_t PROGMEM ConfigurationDescriptor = {
.PollingIntervalMS = 0x05
},
#endif
+
+ /*
+ * Joystick
+ */
+#ifdef JOYSTICK_ENABLE
+ .Joystick_Interface = {
+ .Header = {
+ .Size = sizeof(USB_Descriptor_Interface_t),
+ .Type = DTYPE_Interface
+ },
+ .InterfaceNumber = JOYSTICK_INTERFACE,
+ .AlternateSetting = 0x00,
+ .TotalEndpoints = 1,
+ .Class = HID_CSCP_HIDClass,
+ .SubClass = HID_CSCP_NonBootSubclass,
+ .Protocol = HID_CSCP_NonBootProtocol,
+ .InterfaceStrIndex = NO_DESCRIPTOR
+ },
+ .Joystick_HID = {
+ .Header = {
+ .Size = sizeof(USB_HID_Descriptor_HID_t),
+ .Type = HID_DTYPE_HID
+ },
+ .HIDSpec = VERSION_BCD(1, 1, 1),
+ .CountryCode = 0x00,
+ .TotalReportDescriptors = 1,
+ .HIDReportType = HID_DTYPE_Report,
+ .HIDReportLength = sizeof(JoystickReport)
+ },
+ .Joystick_INEndpoint = {
+ .Header = {
+ .Size = sizeof(USB_Descriptor_Endpoint_t),
+ .Type = DTYPE_Endpoint
+ },
+ .EndpointAddress = (ENDPOINT_DIR_IN | JOYSTICK_IN_EPNUM),
+ .Attributes = (EP_TYPE_INTERRUPT | ENDPOINT_ATTR_NO_SYNC | ENDPOINT_USAGE_DATA),
+ .EndpointSize = JOYSTICK_EPSIZE,
+ .PollingIntervalMS = USB_POLLING_INTERVAL_MS
+ }
+#endif
};
/*
@@ -998,6 +1093,12 @@ uint16_t get_usb_descriptor(const uint16_t wValue, const uint16_t wIndex, const
break;
#endif
+#ifdef JOYSTICK_ENABLE
+ case JOYSTICK_INTERFACE:
+ Address = &ConfigurationDescriptor.Joystick_HID;
+ Size = sizeof(USB_HID_Descriptor_HID_t);
+ break;
+#endif
}
break;
@@ -1042,6 +1143,12 @@ uint16_t get_usb_descriptor(const uint16_t wValue, const uint16_t wIndex, const
break;
#endif
+#ifdef JOYSTICK_ENABLE
+ case JOYSTICK_INTERFACE:
+ Address = &JoystickReport;
+ Size = sizeof(JoystickReport);
+ break;
+#endif
}
break;
diff --git a/tmk_core/protocol/usb_descriptor.h b/tmk_core/protocol/usb_descriptor.h
index 5ae52735bd..bc62ebf26a 100644
--- a/tmk_core/protocol/usb_descriptor.h
+++ b/tmk_core/protocol/usb_descriptor.h
@@ -132,6 +132,13 @@ typedef struct {
USB_Descriptor_Endpoint_t CDC_DataOutEndpoint;
USB_Descriptor_Endpoint_t CDC_DataInEndpoint;
#endif
+
+#ifdef JOYSTICK_ENABLE
+ // Joystick HID Interface
+ USB_Descriptor_Interface_t Joystick_Interface;
+ USB_HID_Descriptor_HID_t Joystick_HID;
+ USB_Descriptor_Endpoint_t Joystick_INEndpoint;
+#endif
} USB_Descriptor_Configuration_t;
/*
@@ -177,6 +184,9 @@ enum usb_interfaces {
INTERFACE_ID_WebUSB,
#endif
+#if defined(JOYSTICK_ENABLE)
+ JOYSTICK_INTERFACE,
+#endif
TOTAL_INTERFACES
};
@@ -239,6 +249,10 @@ enum usb_endpoints {
# define WEBUSB_IN_EPADDR (ENDPOINT_DIR_IN | WEBUSB_IN_EPNUM)
# define WEBUSB_OUT_EPADDR (ENDPOINT_DIR_OUT | WEBUSB_OUT_EPNUM)
#endif
+#ifdef JOYSTICK_ENABLE
+ JOYSTICK_IN_EPNUM = NEXT_EPNUM,
+ JOYSTICK_OUT_EPNUM = NEXT_EPNUM,
+#endif
};
#ifdef PROTOCOL_LUFA
@@ -264,6 +278,7 @@ enum usb_endpoints {
#define CDC_NOTIFICATION_EPSIZE 8
#define CDC_EPSIZE 16
#define WEBUSB_EPSIZE 64
+#define JOYSTICK_EPSIZE 8
uint16_t get_usb_descriptor(const uint16_t wValue, const uint16_t wIndex, const void** const DescriptorAddress);
#endif